Avatar billede kromix Nybegynder
22. maj 2006 - 22:50 Der er 1 løsning

FPGA IP Cores

Hvordan bruger man en IP core i Xilinx Spartan 3

IP coren er et FIR filter lavet med Xilinx eget Core Generator

jeg har aldrig leget med FPGAer før har prøvet at lave nogle eksembler og de virker har lidt forståelse for hvordan det virker men ved ikke hvilken fil jeg skal bruger og hvordan jeg skal bruge den.

nogen der ved hvor der er en guide eller en der har tid til at hjælpe

på for hånd tak :)
Avatar billede kromix Nybegynder
02. april 2009 - 10:17 #1
jeg lukker spørgsmålet
Avatar billede Ny bruger Nybegynder

Din løsning...

Tilladte BB-code-tags: [b]fed[/b] [i]kursiv[/i] [u]understreget[/u] Web- og emailadresser omdannes automatisk til links. Der sættes "nofollow" på alle links.

Loading billede Opret Preview
Kategori
IT-kurser om Microsoft 365, sikkerhed, personlig vækst, udvikling, digital markedsføring, grafisk design, SAP og forretningsanalyse.

Log ind eller opret profil

Hov!

For at kunne deltage på Computerworld Eksperten skal du være logget ind.

Det er heldigvis nemt at oprette en bruger: Det tager to minutter og du kan vælge at bruge enten e-mail, Facebook eller Google som login.

Du kan også logge ind via nedenstående tjenester